对l138与fpga通信例程tl-devmem2的复现与使用 - OMAP-L138 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 7812|回复: 9
打印 上一主题 下一主题

[已解决] 对l138与fpga通信例程tl-devmem2的复现与使用

[复制链接]

4

主题

21

帖子

138

积分

注册会员

Rank: 2

积分
138
跳转到指定楼层
楼主
发表于 2018-9-5 20:16:08 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
硬件平台:omapl38F核心板;
9 u6 M  N- }9 {: x& V; \复现方式:按照pdf:omapl38基于emifa总线与FPGA的通信测试进行例程复现,并将写数据部分提取出来进行使用;# @4 L* X# [, `( d$ T' F
问题:在对fpga这边数据进行抓包观察时发现:9 T$ h$ R( W. w# O
1、16根数据线仅有低八位在使用,高八位置一,当传输16bit数据时,会将两个字节按先小端后大端的顺序从低八位数据线按序输出;
; N4 A& ]! S4 h7 r9 [2、同时地址线只有addr1,addr2,addr3三根是有效的,其他线长期置一,并且地址线并不随数据变化而变化,而是每隔8到11个写使能而进一位;8 }2 L. N0 n2 }
3、emifa_clk时钟线并不能作为时钟提供给fpga,在对fpga另加其他时钟并对emifa_clk进行抓包时现象为长期置高;
& |1 \! G1 M7 x. H1 ^2 {4、片选emifa_cs2和写使能emifa_we的拉低时间比并不符合dsp与fpga通信时的2,3,2关系,请问arm与fpga通信时这个时序是什么,同时其依靠的时钟是多大,还是114MHz吗?' N5 ?8 l- V. U4 c

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖
回复

使用道具 举报

17

主题

193

帖子

1628

积分

创龙

Rank: 8Rank: 8

积分
1628
沙发
发表于 2018-9-7 15:39:11 | 只看该作者
你好,针对您的问题有一下疑问:) Z% A4 Z4 C$ h. g
2 x* ?0 G0 v% C; E2 A4 K# q. `% w
1.请问你使用的程序都是用我公司提供的吗?还是自行有做修改?  b6 A9 M$ @, j1 l& f) \
2.是否有确认过FPGA端的程序与DSP端的程序数据传输的位数是否匹配?
3 N8 B$ Y$ W5 g4 y, o- L1 Z% \7 H' L/ H4 ~( S- I: g: ]
回复 支持 反对

使用道具 举报

4

主题

21

帖子

138

积分

注册会员

Rank: 2

积分
138
板凳
 楼主| 发表于 2018-9-7 16:26:05 | 只看该作者
Tronlong-陈工 发表于 2018-9-7 15:39
" s, ^4 }8 I5 c$ H( G' X你好,针对您的问题有一下疑问:
  B/ Z3 h0 X  Z0 j0 r/ M" e8 @3 E  I3 U  {. v
1.请问你使用的程序都是用我公司提供的吗?还是自行有做修改?

4 u; k, `% Q+ @$ {% p! C/ q5 N0 L使用的是arm的例程tl_devmem2(贴的图是修改过的程序,写使能中间的长时间时序是由于arm端打印每次数据造成的);我没有用核心板上的DSP,只用了arm和fpga
回复 支持 反对

使用道具 举报

17

主题

193

帖子

1628

积分

创龙

Rank: 8Rank: 8

积分
1628
地板
发表于 2018-9-7 17:06:59 | 只看该作者
数据的问题核对过ARM和FPGA端的数据宽度是否匹配?光盘资料中也有使用tl_devmem2的部分讲解文档《13-3-OMAPL138基于EMIFA总线与FPGA的通信测试》
, m' @$ P( N* ^
回复 支持 反对

使用道具 举报

4

主题

21

帖子

138

积分

注册会员

Rank: 2

积分
138
5#
 楼主| 发表于 2018-9-7 20:43:44 | 只看该作者
Tronlong-陈工 发表于 2018-9-7 17:06; f9 u' g, w" l5 y% O" k2 R- W$ w
数据的问题核对过ARM和FPGA端的数据宽度是否匹配?光盘资料中也有使用tl_devmem2的部分讲解文档《13-3-OMAP ...
0 U4 |. J0 t; Q! I' ~0 D
就是按照那个文档操作的,然后发现采用m或者h模式的时候数据线和地址线出现了上述现象。采用b时地址线出现上述现象1 V# _; O* F9 {
回复 支持 反对

使用道具 举报

4

主题

21

帖子

138

积分

注册会员

Rank: 2

积分
138
6#
 楼主| 发表于 2018-9-17 17:09:55 | 只看该作者
Tronlong-陈工 发表于 2018-9-7 17:06. B6 S' H4 u# @5 Z9 e
数据的问题核对过ARM和FPGA端的数据宽度是否匹配?光盘资料中也有使用tl_devmem2的部分讲解文档《13-3-OMAP ...

8 S4 r2 G& ~* t( H! N2 f陈工,这个问题咱们创龙有没有解决方案啊,为什么ARM和fpga通信时只能用8根数据线啊,而且地址线完全和数据不匹配,而且arm进行写操作基于的时钟是多少啊?麻烦您解答一下呗
7 o# R: \; m5 p+ a9 }0 ]
回复 支持 反对

使用道具 举报

3

主题

524

帖子

2083

积分

创龙

Rank: 8Rank: 8

积分
2083
7#
发表于 2018-9-29 10:46:15 | 只看该作者
您好,您如果是按照我们提供的例程和文档进行测试的话,测试的结果如果是不一样的话,您这边可以截图过来看一下。
回复 支持 反对

使用道具 举报

4

主题

21

帖子

138

积分

注册会员

Rank: 2

积分
138
8#
 楼主| 发表于 2018-11-10 16:06:15 | 只看该作者
广州创龙莫工 发表于 2018-9-29 10:46
( P/ N7 {; l( i  H* o; y; q您好,您如果是按照我们提供的例程和文档进行测试的话,测试的结果如果是不一样的话,您这边可以截图过来看 ...

6 O. e2 E+ n9 ^0 O. A" v9 w采用的OMAP-L138F上ARM与FPGA数据传输程序基于文件《13-3-OMAPL138基于EMIFA总线与FPGA的通信测试》。
" {# Y- ?. F2 C4 U3 X& i
- C: ^9 {; P- }$ a# ^3 g# W7 ]  {4 V, B现在遇到的问题:
% J9 ?: H4 Z0 n& ]4 Y( r1、16bit数据线高八位没有初始化,传输只采用低八位数据线,且16bit的数据会在低八位数据线分成两个8bit数发出;7 Q1 \* Q9 I! \: o0 Q
2、地址线仅有低三位初始化,且变化方式与读使能不同步;emifa_ba1无变化;
; ?- Z+ {  f2 }) @5 K  W# I5 J% e; m0 l3 E
现象:. t+ @7 w: e& O" Z' w" L
1、linux端运行./tl-devmem2 0x60000000 256 m 52428(1100110011001100)FPGA端现象
8 A8 c: s8 m3 TG:\EMIF问题\输入(m52428)  ]8 g" D8 p3 `
G:\EMIF问题\m52428addr
2 l0 H4 l5 d' [* y2、linux端运行./tl-devmem2 0x60000000 256 h 52428(1100110011001100)FPGA端现象
$ w# Z8 J: w5 u4 n5 T$ TG:\EMIF问题\输入(h52428)G:\EMIF问题\h52428addr& J: h2 o! i% n' ?* u, ~- S) A8 v
回复 支持 反对

使用道具 举报

4

主题

21

帖子

138

积分

注册会员

Rank: 2

积分
138
9#
 楼主| 发表于 2018-11-10 16:09:43 | 只看该作者
# E/ F9 d- ]7 J3 C4 K: R

7 ]3 c, R( \6 E$ F( [7 X) z9 k& z+ V6 C3 v( l: u
  K: T* w0 p  B1 n' |# a

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
回复 支持 反对

使用道具 举报

17

主题

193

帖子

1628

积分

创龙

Rank: 8Rank: 8

积分
1628
10#
发表于 2018-11-22 12:02:47 | 只看该作者
你好,emif的问题我们这边基本确认了。除了fpga端下载bit文件之后运行这几条指令再发送数据以外,需要加载这个驱动:tl138evm-emifa-sram,还有两个情况::1.tl138evm-emifa-sram这个驱动有bug,导致地址发送出错。2.FPGA端的程序也有点问题,频率太高,通信会出错。。以上的问题我们会在V2.1版本(目前使用的是2.0内核)更新完善上去。并且修改emif的FPGA端程序。新版本的内核发布时间是下周五(11月30日)
6 e% T' i; N+ B% p; ], O. ]( i# i. {  @; `3 w! U+ z& x9 _3 {

/ m' o9 ]1 ?' X! \" a" B- t, u' n& o. f. P( O  E$ z- Y

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-5-2 08:13 , Processed in 0.042799 second(s), 24 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表