k7的这些时钟资源怎么用啊? - Kintex-7 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 3325|回复: 2

[未解决] k7的这些时钟资源怎么用啊?

[复制链接]

1

主题

2

帖子

21

积分

新手上路

Rank: 1

积分
21
发表于 2021-8-24 10:50:15 | 显示全部楼层 |阅读模式
本帖最后由 xdh_k7 于 2021-8-24 10:51 编辑


尤其是那些差分时钟, 我用原语转成普通始终后好像没什么用? 用ILA也无法抓到波形?
  1. //-----------------------------------------------------------------------------
  2. //
  3. // (c) Copyright 2013 Tronlong, Inc. All rights reserved.
  4. //
  5. //-----------------------------------------------------------------------------
  6. `timescale 1ns / 1ps

  7. module tl_led_flash(
  8.         input clk_p,
  9.         input clk_n,
  10.     output  reg  [1:0]  led      // led gpio output
  11. );

  12. // Delay lenght: 32_500_000, 500ms, by used 65MHz cfgmclk
  13. parameter        DELAY_LEN = 28'd100000000; //26'd3249_9999;

  14. reg        [27:0]        delay_cnt;

  15. wire                cfgmclk;
  16. //wire                eos_n;

  17. IBUFDS IBUFDS_inst(
  18.         .I(clk_p),
  19.         .IB(clk_n),
  20.         .O(cfgmclk)
  21.         );


  22. //STARTUPE2 #()
  23. //STARTUPE2_inst (
  24. //        .CFGMCLK(cfgmclk),     // 1-bit output: Configuration internal oscillator clock output 65MHz.
  25. //        .EOS(eos_n)            // 1-bit output: Active high output signal indicating the End Of Startup.
  26. //);

  27. // Led flash with delay counter by cfgmclk
  28. always@(posedge cfgmclk)
  29. //        if(!eos_n)
  30. //                begin
  31. //                        delay_cnt <= 26'd0;        // reset delay_cnt
  32. //                        led <= 2'b0;                // led output low
  33. //                end
  34. //        else
  35.                 if(delay_cnt == DELAY_LEN)
  36.                 begin
  37.                         delay_cnt <= 26'd0;        // reset delay_cnt;
  38.                         led <= ~led;                // change led output status;
  39.                 end
  40.         else
  41.                 delay_cnt <= delay_cnt + 1'b1;

  42. endmodule



复制代码


  1. create_clock -period 10.000 -name sys_clk [get_ports clk_p]
  2. set_property PACKAGE_PIN AA3 [get_ports clk_p]
  3. set_property IOSTANDARD LVDS [get_ports clk_p]



  4. set_property PACKAGE_PIN AF3 [get_ports {led[0]}]
  5. set_property PACKAGE_PIN AF2 [get_ports {led[1]}]

  6. set_property IOSTANDARD LVCMOS15 [get_ports {led[0]}]
  7. set_property IOSTANDARD LVCMOS15 [get_ports {led[1]}]

  8. # enable bitstream compression
  9. set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
复制代码


本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
回复

使用道具 举报

0

主题

30

帖子

1212

积分

创龙

Rank: 8Rank: 8

积分
1212
发表于 2021-8-25 09:47:13 | 显示全部楼层
您好,您使用的是我司哪个平台的开发板?
麻烦您将问题发到我司技术邮箱support@tronlong.com,这边通过邮箱给您跟进。
回复 支持 反对

使用道具 举报

1

主题

2

帖子

21

积分

新手上路

Rank: 1

积分
21
 楼主| 发表于 2021-8-25 12:39:15 | 显示全部楼层
JSZC 发表于 2021-8-25 09:47
您好,您使用的是我司哪个平台的开发板?
麻烦您将问题发到我司技术邮箱support@tronlong.com,这边通过邮 ...

TLK7-EVM 开发板
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-3-28 20:20 , Processed in 0.039000 second(s), 25 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表